Stále menšie výrobné procesy neustále prichádzajú a prinášajú efektívnejšie a výkonnejšie procesory. Čo vlastne ale tieto čísla znamenajú, ako sa prejavujú a aké technologické výzvy nás čakajú v najbližších rokoch?

Vysoko presná výroba jednotlivých častí pokročilých procesorov a grafických čipov, ktorých stavebné prvky sú veľké len niekoľko nanometrov, je technologicky nesmierne náročný proces s obrovskými finančnými nákladmi. Vyvíjanie a prevádzkovanie čoraz komplikovanejších špecializovaných výrobných mechanizmov dnes dospelo do stavu, že vývoj najpokročilejších výrobných procesov si môže dovoliť už len hŕstka firiem.

S každou novou generáciou pri tom komplexnosť a cena ďalej narastá. V priebehu rokov sa ceny špecializovaných fabrík tohto typu začali postupne rátať na jednotky miliárd a v súčasnosti už začala cena tých najmodernejších presahovať 15 miliárd dolárov.

Kto tieto najpokročilejšie polovodičové fabriky vlastní? V základe existujú tri základné druhy takýchto spoločností. Do prvej kategórie patria firmy, ktoré vyvíjajú čipy aj výrobný proces a zároveň prevádzkujú fabriky pre vlastné potreby. Bezkonkurenčne najväčšou firmou tohto typu je Intel, ktorý vlastní 14 takýchto megafabrík pre pokrytie svojej niekoľko stoviek miliónovej produkcie. V súčasnosti vyrába komplexné čipy na tom najpokročilejšom výrobnom procese päť jeho fabrík (procesory), zatiaľ čo ostatné slúžia na výrobu menej komplexných čipov na väčších výrobných procesoch (čipové súpravy, NAND flash, radiče).

Intel a jeho Fab 24 v Írsku

Existujú aj iné spoločnosti, ktoré vlastnia výrobné fabriky iba pre vlastné potreby, avšak tie pokročilé výrobné procesy vyvíjajú pre odlišné a konštrukčne jednoduchšie čipy, pričom ide hlavne o RAM a NAND Flash pamäte (napr. americký Micron, juhokórejský SK Hynix či japonská Toshiba).

Druhou kategóriou majiteľov polovodičových fabrík sú firmy, ktoré nevyvíjajú ani nevyrábajú žiadne vlastné čipy. Vyvíjajú len výrobný proces a výrobnú kapacitu svojich fabrík následne poskytujú návrhárom čipov bez fabrík. Takéto čisto výrobné spoločnosti sa nazývajú Dedicated Foundries, pričom bezkonkurenčne najväčšou z nich je taiwanská TSMC (ide o skratku Taiwan Semiconductor Manufacturing Company, teda doslova Taiwanská spoločnosť na výrobu polovodičov).

U tejto spoločnosti si nechávajú vyrábať svoje procesory a grafické čipy firmy ako NVIDIA, AMD, Apple, Qualcomm a obrovský zástup ďalších. TSMC je v rámci takýchto špecializovaných čistokrvných výrobcov polovodičov dominantný, pričom mu patrí 59 % tohto trhu. Najbližšou konkurenciou je mu v tomto ohľade americký GlobalFoundries (11 %) a taiwanské UMC (9 %).

Útroby fabriky TSMC

Treťou a poslednou kategóriou sú firmy, ktoré stoja na pomedzí. Podobne ako Intel vyvíjajú svoje vlastné čipy a výrobné procesy a stavajú fabriky pre ich výrobu, zároveň ale ich kapacity aj predávajú iným výrobcom bez fabrík. Bezkonkurenčne najväčšou spoločnosťou takéhoto typu je polovodičový gigant Samsung.

Tieto firmy, teda Intel, TSMC, Samsung a GlobalFoundries sú veľkou štvorkou, ktorá pravidelne vyvíja tie najpokročilejšie výrobné procesy aj pre tie najzložitejšie čipy, ktorými sú moderné výkonné CPU a GPU. Presnejšie povedané, ide skôr o veľkú trojku, pretože GlobalFoundries sa sem radí hlavne z historických dôvodov (ide o bývalé fabriky výrobných divízií AMD a IBM, ktoré sa osamostatnili) a na moderných výrobných procesoch dnes spolupracuje so Samsungom alebo ich od neho rovno odkupuje.

10 nm je tu a 7 nm výrobný proces za dverami

Tento rok bude rokom vstupu 10 nm výrobného procesu na trh. Objaví sa v podaní Samsungu, TSMC a takisto Intelu. Vo všetkých prípadoch už proces beží niekoľko rokov v rámci testovacej výroby, pri ktorej sa ladí pre čo najmenšiu chybovosť a čo najväčšiu vhodnosť pre konkrétne čipy.

Koncom minulého roku bola ešte chybovosť 10 nm výroby Samsungu a TSMC obrovská, avšak problémy by mali byť v priebehu prvých mesiacov tohto roku uspokojivo odstránené a nábehu na sériovú veľkovýrobu by už nemalo stáť nič v ceste. Intel by mal na sériovú veľkovýrobu na 10 nm výrobnom procese nabehnúť v lete tohto roku.

V súčasnosti takisto prebieha na plné obrátky vývoj ďalšieho vývojového nodu v podobe 7 nm výrobného procesu. Ten TSMC a Samsung plánujú zaradiť do plnej prevádzky v rokoch 2018 alebo 2019 a Intel niekedy v polovicu roku 2020.

Schéma rôznych typov poľom riadených tranzistorov. Starší planárny FET vľavo, v strede súčasný FinFET a napravo potenciálna budúcnosť v podobe GAAFET. Základný princíp práce je u všetkých rovnaký. Hradlo odizolované vrstvou oxidu kontroluje elektrický potenciál medzi elektródou Source a kremíkovým kanálom. Stav hradla teda určuje to, či sa elektrický prúd dostane kanálom z elektródy Source do elektródy Drain alebo nie (1, 0). Kanál sa „otvorí“ vtedy, keď na hradlo privedieme napätie a „zavrie“ vtedy, keď napätie z hradla odoberieme

Ak ste po minulé roky sledovali vývoj polovodičov podrobnejšie, viete, že Intel mal veľmi dlho vo vývoji nových výrobných procesov značný náskok. Kým ešte v roku 2010 uviedol 32 nm výrobný proces, len pár mesiacov pred AMD, jeho obrovská prevaha sa naplno prejavila v roku 2012, keď uviedol na trh prvý funkčný sériový výrobný proces využívajúci FinFET konštrukciu tranzistorov (22 nm). Pri nej je kanál medzi source a drain elektródou vyzdvihnutý do charakteristického rebra, zamotávajúc sa tak do hradla pre lepší kontakt.

Táto konštrukcia je omnoho výhodnejšia ako klasická planárna, pri ktorej je hradlo tranzistora vytvorené na plocho nad plochým kanálom, čo sa ukázalo ako kľúčové. TSMC, Samsung a GlobalFoundries neboli v komplexnom vývoji FinFET tak ďaleko ako Intel a rozhodli sa preto hladinu 22 nm, respektíve v ich podaní 20 nm výrobného procesu prelomiť ešte s klasickou konštrukciou tranzistorov. To dopadlo katastrofálne.

Vyrábať tieto typy tranzistorov pri takýchto malých rozmeroch sa ukázalo ako extrémne ťažké a všetky firmy sa začali stretávať s obrovskou a prakticky nepoužiteľnou efektivitou výroby, ktorá trvala viac ako dva roky.

Prakticky najpokročilejšia podoba klasického planárneho procesu v podobe 28/20nm nodov TSMC. Bez Fin-FET to už ďalej nešlo

Samsung a TSCM svoje planárne 20 nm výrobné procesy napokon horko-ťažko rozbehli až o dva roky neskôr, v roku 2014, avšak napokon boli vhodné len pre jednoduchšie mobilné čipy a pre pokročilejšie CPU a GPU ich nízka vyťaženosť nestačila. Navyše, to všetko v dobe, keď Intel všetkým zamával a urobil skok na ďalší nod, konkrétne na 14 nm so svojou druhou generáciou FinFET tranzistorov.

AMD (už bez vlastných tovární) a takisto aj NVIDIA tak zostali zaseknuté dva procesy za Intelom, pretože svoje čipy skrátka nemali kde vyrobiť (Intel svoje fabriky a výrobný proces používa len pre seba). Jediné zlepšenie z 32 nm bol 28 nm výrobný proces TSMC, ktorý s planárnou konštrukciou ešte uspokojivo fungoval. Planárny 20 nm výrobný proces TSMC a Samsungu sa tak zapísal do histórie ako obrovské zlyhanie, ktoré zabrzdilo vývoj.

V tom čase už bolo všetkým jasné, že FinFET je tá jediná správna cesta. TSMC a Samsung túto konštrukciu horlivo vyvíjali pre svoj nadchádzajúci 16/14 nm výrobný proces a všetko sa napokon podarilo a v priebehu roku 2016, keď TSMC začalo produkovať prvé veľké GPU na tomto výrobnom procese pre čipy Radeon a GeForce od AMD a NVIDIE. V prvých mesiacoch tohto roku sme sa už takisto konečne dočkali nových procesorov AMD s architektúrou Zen, ktoré tento proces využívajú takisto.

Prvá generácia FinFET Intelu na 22 nm výrobnom procese vľavo a aktuálna druhá generácia na 14 nm procese vpravo. Všimnite si, ako okrem zmenšenia rozstupu rebier tranzistorov Intel rebrá predĺžil do výšky a viac ich zarazil do hradla pre lepšie ovládanie. Okrem toho, namiesto ihlanového prierezu sa ich tvar v hradle začal viac podobať na valec, čo vlastnosti kontaktu ďalej zlepšuje

Ak ale len nedávno dorovnali TSMC a Samsung 14 nm výrobný proces Intelu, ktorý bol uvedený už v roku 2014, ako je možné, že ho pri 10 nm predbehnú o takmer pol roka a pri 7 nm dokonca možno aj o jeden či dva roky?

Pravda je taká, že výrobné procesy Intelu, TSMC a Samsungu dnes už nie sú z hľadiska rozmerov ekvivalentné. S nábehom na konštrukciu FinFET tranzistorov došlo k rozkolu v označovaní a 10 nm výrobný proces Intelu je zhruba na úrovni toho, čo bude TSMC a Samsung označovať ako 7 nm výrobný proces. Prečo je to tak?

Čo vo výrobnom procese nanometer vlastne meria?

Ak si myslíte, že číslo v označení výrobného procesu je napríklad rozmer tranzistora, tak ste na omyle. V niektorých prípadoch to síce ako-tak platí, ako napríklad vo výrobnom procese pamätí, kde ide o tzv. half-pitch, čo je polovica vzdialenosti, ktorá je súčtom rozmeru tranzistora a medzery k ďalšiemu tranzistoru, avšak v prípade GPU a CPU je to inak. Konštrukcia tranzistorov, procesorov a grafických čipov je značne odlišná. V ich prípade je rozmer 22, 14 či 10 nm len pomenovaním s historickou naviazanosťou.

„Ak si myslíte, že číslo v označení výrobného procesu je napríklad rozmer tranzistora, tak ste na omyle“

Napríklad v prípade súčasných 14 nm procesorov Intelu sú mnohé časti konštrukcie väčšie (half-pitch medzi hradlami tranzistorov je 35 nm, hradlo samotné má dĺžku 20 nm), zatiaľ čo iné sú menšie ako tento rozmer (kremíkový kanál pod hradlom je 8 nm široký). Dôvod, prečo sa výrobný proces takto nazýva je ten, že dohromady sú jeho prvky v priemere o tretinu menšie (konkrétne 0,65×) ako pri 22 nm procese. Keďže 22 × 0,65 = 14,3, nový proces dostal 14 nm označenie.

Názvy vývojových skokov (teda nodov) majú teda opodstatnenie, avšak dnes už sa nedá ukázať na nejaký konkrétny prvok obvodu a povedať, že má 14 nm, a preto je výrobný proces 14-nanometrový. Konkrétna časť obvodu môže na menšom procese zostať rovnako veľká alebo sa dokonca zväčšiť, ale o celkové zmenšenie sa postarajú ostatné prvky, vďaka čomu sa zmenšia rozstupy a zvýši hustota.

Rovnako tomu bolo aj pri 32, 45 či 90 nm výrobnom procese. Ak by ste sa chceli vrátiť do doby, keď označenie procesu skutočne vyjadrovalo nejaký rozmer obvodu, museli by ste sa vrátiť až do roku 1995, keď 350 nm proces používal 350 nm široké hradlá tranzistorov.

Menej zvyčajný pohľad „z výšky“ na celé zástupy FinFET tranzistorov. Konkrétne ide o tranzistory 16 nm výrobného procesu TSMC

Intel číslovanie ponecháva v takomto tvare, pretože stále vyjadruje to, že nová generácia výrobného procesu obsahuje konštrukčné prvky o 30 % menšie ako ten predošlý proces. Tým sa otvorí priestor na zdvojnásobenie počtu tranzistorov, pretože ide o 50 % redukciu plošného objemu.

Mnoho ľudí má problém uvedomiť si, prečo je to tak. Stačí si ale predstaviť šachovnicu, ktorá má 10 riadkov a 10 stĺpcov, teda 100 polí. Ak šachovnicu ukrojíte z oboch strán o 30 %, teda o 3 polia, zostane vám 7 riadkov a 7 stĺpcov, čo je vo výsledku 49 polí. Zmenšením ste teda 51 polí vymazali. Keďže v prípade 10 nm výrobného procesu, ktorý 14 nm nahradí, počíta Intel s ďalším zmenšením prvkov o 30 %, dostávame sa k označeniu 10 nm (14 × 0,7 = 9,8).

TSMC, Samsung a GlobalFoundries sa však od tejto schémy pri aktuálnom výrobnom procese odklonili. Keďže kvôli svojmu zbabranému 20 nm planárnemu nodu všetci chvátali k FinFET konštrukcii tranzistorov za každú cenu, tak pri prvej FinFET generácii nedošlo k takému zmenšeniu, ako je bežné. Výrobcovia použili prakticky „20 nm“ schému pripojení, do ktorej začlenili FinFET konštrukcie. Keďže tieto typy tranzistorov majú lepšie vlastnosti, dosiahlo sa zlepšenie parametrov. Nový nod obvykle prináša zhruba 20 % zvýšenie výkonu na rovnakej spotrebe alebo 40 % redukciu spotreby pri dosiahnutí rovnakej frekvencie.

„Pravda je taká, že výrobné procesy dnes už nie sú z hľadiska rozmerov ekvivalentné a 10 nm výrobný proces Intelu je zhruba na úrovni toho, čo bude TSMC a Samsung označovať až ako 7 nm výrobný proces“

Keďže tieto parametre nový FinFET nod viac menej mal, Samsung sa rozhodol označiť ho ako 14 nm, aj keď rozmerovo ten skok dosiahnutý nebol. TSMC bolo pri pomenovaní trochu striedmejšie a svoj prvý FinFET výrobný proces nazvalo ako 16-nanometrový. Z hľadiska rozmerov však hustotu prvkov 14 nm výrobného procesu Intelu ani jeden nedosahuje a približuje sa skôr k jeho 22 nm, i keď sú na tom vďaka pôvodnej 20 nm schéme o niečo lepšie.

Táto „chyba“ v číslovaní sa prenáša aj do novej 10 nm generácie. Samsung a TSMC uvedú tento výrobný proces o pár mesiacov skôr ako Intel, avšak z uvedeného dôvodu nie sú tieto procesy ekvivalentné. Fyzické zmenšenie sa síce dosiahlo a nová generácia FinFET Samsungu a TSMC je menšia ako predošlá, a to zhruba o 30 %, ale z dôvodu že 14/16 nm nesú spomenutú „chybu“, zdedí ju aj nová 10 nm generácia.

Práve preto sú prognózy TSMC a Samsungu s ich 7 nm procesom také odvážne a plánujú jeho uvedenie už v rokoch 2018 alebo 2019, kým Intel ho má naplánovaný až na rok 2020. Výrobný proces Samsungu a TSCM v podobe 7 nm totiž bude viac-menej ekvivalentný s 10 nm výrobným procesom Intelu, ktorý táto firma uvedie v druhej polovici tohto roku.

Dobrá ukážka nadradenosti 14 nm výrobného procesu Intelu, ktorého rebrá kanálu majú v hornej časti už plochý tvar na zlepšenie kontaktu s hradlom. Špicaté rebrá Samsungu sa viac podobajú na prvú generáciu FinFET Intelu, použitú pri 22 nm výrobnom procese

Rovnaká situácia nastane aj následne s 5 nm výrobným procesom, ktorý Intel plánuje zatiaľ na rok 2023. Plány sa však môžu zmeniť, pretože vývoj nových procesov je čoraz náročnejší, s čím súvisí aj rozpad Moorovho zákona. Kým pri jeho prvom definovaní pred polstoročím išlo o zdvojnásobenie počtu tranzistorov každý rok, neskôr sa z toho stalo jeden a pol roka, dva roky, dva a pol roka a v súčasnosti ide zrejme už o tri roky.

Nové 10 nm a 7 nm výrobné procesy si nebude môcť dovoliť každý

Relatívna časová blízkosť 10 a 7 nm výrobného procesu TSMC a Samsungu donúti mnoho firiem vážne uvažovať nad tým, či použijú pre svoje čipy oba procesy, alebo len jeden z nich. Rozdiel v prístupe je vidieť aj u samotných výrobcov. Samsung počíta s tým, že jeho 10 nm výrobný proces je ten hlavný, na ktorý by mali prejsť všetci jeho firemní zákazníci s výkonnými konštrukciami čipov. Nasledujúci 7 nm proces príde až neskôr hlavne pre tých „bohatších“ návrhárov čipov, ktorí vyžadujú rýchle zlepšenie.

Ostatní pri 10 nm procese zostanú a preskočia nižšie až v neskoršej dobe, s nástupom 5-nanometrového. TSMC sa však k tomu stavia presne opačne a 10 nm považuje práve za medzikrok pre tých najväčších nedočkavcov a 7 nm proces označuje za ten „hlavný“, ku ktorému rýchlo napreduje. GlobalFoundries dokonca 10 nm proces ani nevyvíja a rovno pracuje len na tom 7 nm.

Rebrá experimentálnych FinFET tranzistorov zo zmesi germánia a kremíka na 7 nm výrobnom procese vyrobené s pomocou EUV litografie

V minulosti bol takýto prístup niečím neslýchaným, pretože vynechanie nového výrobného procesu by znamenalo masívnu stratu konkurencieschopnosti, o čom by pravdaže mohlo rozprávať AMD v súvislosti so svojimi CPU za posledné roky. Situácia sa však mení a dôvodom je nielen to, že nové menšie procesy sú technologicky čoraz komplikovanejšie a vyžadujú dlhší vývoj, komplexnejšie sú aj na implementovanie.

Vývojári polovodičov náklady nevyhnutne prenášajú na návrhárov čipov a cena návrhu čipu aj preto stále eskaluje. Napríklad návrh nového čipu pre 28 nm výrobný proces, ktorý ešte v minulom roku používala NVIDIA pre svoje grafické jadrá a AMD pre svoje GPU a CPU stál 30 miliónov dolárov. Naproti tomu cena návrhu čipu vhodného na súčasný 16/14 nm výrobný proces bola už 80 miliónov, teda skoro trojnásobok.

Zmenšovanie výrobných procesov v jednotlivých rokoch

V prípade súčasného 10 nm procesu, ktorý je nachystaný pre tento rok, pôjde o 120 miliónov dolárov a pri 7 nm dokonca o 271 miliónov, teda 9-násobok toho, na čo ešte donedávna boli firmy zvyknuté. To je pravdaže cena len za návrh čipu. Do ceny na výrobu sa prirátavajú takisto náklady na vývoj softvérovej časti, litografických masiek a takisto toho, ako veľmi je výroba chybová a koľko zmätkov vo vyrobených waferoch s čipmi máte, čo je dohromady zhruba toľko, čo je cena návrhu.

Masívny nárast ceny, ktorú je nutné vynaložiť pre adoptovanie nového procesu, teda nevyhnutne prinesie to, že mnohé firmy budú tuho uvažovať, či zo súčasných 16/14 nm procesov budú migrovať na 10 a následne aj na 7 nm proces, alebo budú 10 nm ignorovať a počkajú rok či dva na 7 nm (alebo naopak). Menšie výrobné procesy totiž už z dôvodu obrovskej komplexnosti neprinášajú benefity vo všetkých hlavných oblastiach, ako tomu bolo v minulosti (zníženie ceny výroby, zvýšenie výkonu čipu, zlepšenie spotreby), ale len v niektorých.

Extrémna ultrafialová litografia a viacnásobné šablónovanie

Litografia je metóda produkovania odtlačkov na kremíkovom alebo inom substráte. V rámci polovodičovej výroby sa používa konkrétne tzv. optická litografia (fotolitografia), pri ktorej sa na prenos vzorky do substrátu používa svetlo. Litografia je vôbec tou najdrahšou časťou fyzickej výroby čipov a pri súčasných procesoroch tvorí 40 až 50 % výrobných nákladov.

Princípom je, že trojrozmerné štruktúry tranzistorov a iných súčiastok čipov sa vytvárajú ožarovaním fotorezitu (svetlo citlivého materiálu) nanesenom na kruhovom kremíkovom waferi. Čím viac litografických krokov sa pri výrobe uplatní, tým je celá výroba drahšia.

Súčasné zariadenia optickej litografie používajú argón fluoridový laser. Jeho svetlo prechádza cez masku, na ktorej je obvod nakreslený a vypaľuje sa do fotorezitu waferu. Je to podobné, ako keď si v papieri vystrihnete nejaký ornament a následne papier zdvihnete do vzduchu a zasvietite nad ním lampu. Obrazec sa vďaka otvoru svetlom prekreslí na stôl pod ním. Pomocou obaľovania a leptania sa následne na „vypálenej“ štruktúre waferu budujú jednotlivé prvky.

Jas a vlnová dĺžka použitého svetla je dôležitým prvkom pre to, aké malé objekty môžeme vyrábať. V počiatkoch polovodičového priemyslu sa používali výbojky, naplnené ortuťou v plynnom stave, doplnenou o vzácne plyny ako xenón, čo generovalo správnu vlnovú dĺžku svetla na úrovni 436, 405 a napokon 365 nm. To na konci 80. rokov minulého storočia prestalo stačiť, pričom záchranou sa stal najprv kryptón fluoridový laser s vlnovou dĺžkou 248 nm a v roku 2004 argón fluoridový laser, emitujúci ultrafialové žiarenie s vlnovou dĺžkou 193 nm, ktorý sa používa dodnes.

To samo o sebe pri menších výrobných procesoch nestačilo, a preto bolo nutné používať čoraz väčšie ostriace šošovky, schopné zacieliť svetlo do malých rozmerov bez rozptylu. Pri 65 nm výrobnom procese bolo nutné použiť šošovky s účinnou svetelnosťou 0,85 NA (bezrozmerné číslo vyjadrujúce hodnotu numerickej apretúry), pri 45 nm ešte lepšie s 0,93 NA a napokon pri 32 nm výrobnom procese v roku 2009 šošovky s hodnotou 1,35 NA, čo je z praktického hľadiska úplným limitom ich výroby.

Posledné možné optické zlepšenie tejto technológie sa udialo v roku 2011 pri 22 nm výrobnom procese. U neho sa použil špeciálny imerzný postup, pri ktorom sa dosiahne zvýšenie optického rozlíšenia vďaka malej vrstve extrémne čistej vody medzi šošovkou a waferom.

Aktuálny argón fluoridový laser s vlnovou dĺžkou 193 nm, doplnený o najlepšie možné šošovky tým pádom dosiahol vrchol. Jeho nástupca je už dávno známy, avšak kvôli extrémne náročnému vývoju, trvajúcemu od 90. rokov minulého storočia, je jeho nástup oneskorený už o celé jedno desaťročie (prvý plán jeho zavedenia bol rok 2007).

Wafer s čipmi vyrobenými pomocou litografie s argón-fluoridovým laserom

Vývoj vďaka obrovským investíciám každopádne stále napreduje a svetlo na konci tunela už naozaj svieti. Problémom sa ale nedá čudovať. Ide o vôbec najkomplexnejší litografický stroj, aký ľudstvo kedy vyrobilo, a ktorý svojich predchodcov v komplexnosti masívne zatieňuje. V jeho konštrukcii je prakticky každý dôležitý komponent celkom odlišný od toho, čo sme používali doteraz.

Ide o extrémnu ultrafialovú litografiu, skrátene EUV (Extreme ultraviolet), s vlnovou dĺžkou 13,5 nm. Základom tohto stroja je masívny a veľmi výkonný plynový CO2 laser (oxid uhličitý), ktorý sa kvôli svojej vlnovej dĺžke 10 000 nanometrov nepoužíva na osvetľovanie wafera, ale na dezintegráciu miniatúrnych kvapiek roztaveného cínu (0,05 až 0,1 mm). Tie vo vnútri stroja padajú vo vákuovej komore rýchlosťou 40-tisíc kvapiek za sekundu, pričom pri páde je každá z nich zasiahnutá krátkym pulzom laseru, ktorý ich premení na plazmu. A práve táto plazma generuje svetlo s 13,5 nm vlnovou dĺžkou.

Svetlo generované plazmou vchádza do ostriacej optickej sústavy, ktorá kvôli takej malej vlnovej dĺžke svetla už nemôže pozostávať zo šošoviek, ale zo série špeciálnych zakrivených zrkadiel z vysoko reflexnej zmesi kremíka a molybdenitu. Nimi putuje svetlo odrazmi na masku a následne na svetlocitlivý materiál waferu.

V súčasnosti najpokročilejší dostupný prototyp litografického stroja pre EUV (NXE:3350B)

Obrovským problémom, ktorý sa rieši už celé desaťročie, je malá výsledná svietivosť stroja (svetlo dopadajúce na wafer), ktorá sa s tými súčasnými nemôže rovnať. Aj keď výkon klasického 100 W argón fluoridového laseru pôsobí oproti masívnemu 10 kW CO2 laseru EUV ako zápalka, svieti priamo na wafer. Pri EUV generuje plazma z cínových kvapiek svetlo, ktorého nie je príliš veľa a navyše vzhľadom na to, že už je blízko röntgenovému žiareniu (od 10 nm), pri odrazoch zrkadlami v procese ostrenia je ho stále menej. Vo výsledku tak na wafer dopadne len 1/26 generovaného svetla. Pri prvých prototypoch EUV strojov bol problém vôbec prekročiť 10 W na výstupe.

Dominantným vývojárom litografických strojov je holandská spoločnosť AMSL, ktorá dodáva litografické stroje pre všetkých hlavných výrobcov polovodičov. Ešte v roku 2014 dodávala Intelu a TSMC prototypy EUV strojov, ktoré dosahovali na výstupe 30 W. Z dôvodu takéhoto malého výkonu musí svietiť stroj na wafer dlhšie, čo znamená, že za hodinu je možné vyrobiť len 10 až 20 kusov waferov, čo je ekonomicky neúnosné. Na porovnanie, pokročilé litografické stroje na vyšších procesoch s argón fluoridovým laserom ich dokážu vychrliť aj 300 kusov za hodinu.

Zlepšenia však pokračujú. AMSL u nových prototypov dodávaných v rokoch 2015 a 2016 zvýšila výkon CO2 laseru na dvojnásobok (20 kW), počet kvapiek navýšila na 50-tisíc za sekundu a začala ich zasahovať viacnásobnými pulzmi. To znamenalo zvýšenie výstupnej svetelnosti na 80 W v roku 2015 a v roku 2016 na 125 W. Počet waferov produkovaných za hodinu sa tak zvýšil na 60, respektíve 85 kusov. To sa už začína blížiť ekonomicky únosnej hranici 125 waferov za hodinu, na čo je potrebné zhruba 250 W na výstupe.

Schéma práce stroja pre EUV litografiu

V priebehu minulého roka ASML oznámila, že laboratórne už testuje konfigurácie s výstupom 210 W a takéto prototypy sa dostanú k výrobcom na testovaciu prevádzku zrejme už v tomto, prípadne budúcom roku.

EUV stroje sú v testovacej prevádzke v rámci experimentálnej výroby prakticky u všetkých významných výrobcov (Intel, TSMC aj Samsung), ktorý pomocou nich vyrábajú testovacie 10 a 7 nm štruktúry. Testuje sa pravdaže nielen presnosť, ale aj spoľahlivosť strojov, ktoré musia byť schopné prevádzky 90 % svojho času a viac, pričom zatiaľ sa dosahuje dostupnosť bez poruchy na úrovni 70 až 80 % času.

ASML výrobcom v rokoch 2010-11 poskytlo dohromady 6 kusov prototypov NXE:3100 a následne v rokoch 2013-2015 osem prototypov NXE:3300B. V rokoch 2015 a 2016 ich nahradil podobný počet modelov NXE:3350B, ktoré by zas v druhej polovici tohto roku mali byť nahradené novými prototypmi NXE:3400B.

Keďže argón fluoridový laser s najlepšími šošovkami (1,35 NA) stačil doplnený o imerziu už horko-ťažko na 22/20 nm výrobný proces, pri 14 nm museli všetci výrobcovia prejsť na viacnásobné používanie masiek a dvojité šablónovanie (double patterning). Základný princíp tohto riešenia je pomerne jednoduchý. Predstavte si litografický stroj, ktorého limitom je napríklad tlač prvkov s 40 nm rozstupom. Keďže ale výrobcovia potrebujú vyrábať prvky už s rozstupom 20 nm, použijú trik.

Vybratá vákuová komora pre tvorbu plazmy litografického EUV stroja

V jednom kroku vytvoria strojom na celom waferi miliardy prvkov s rozstupom 40 nm, následne extrémne presne wafer posunú o 20 nm a vytvoria strojom opäť miliardy prvkov s rozstupom 40 nm. Výsledkom je, že konštrukcia má prvky s 20 nm rozstupmi. Daňou za takýto postup je potreba viacnásobného ožarovanie waferu a používanie väčšieho počtu masiek, čo výrazne zvyšuje cenu výroby. Práve preto je EUV také žiadané, pretože v ďalších generáciách by počet masiek a ožarovaní musel stále narastať o dvojnásobok a zakrátko by sa stal ekonomicky neúnosným.

Vďaka pokroku EUV v posledných rokoch a približovaní sa k uspokojivej hranici výkonu sú výrobcovia polovodičov už optimistickí. Intel v súčasnosti počíta s tým, že EUV nasadí vo veľkom v rámci svojho 7 nm výrobného procesu, teda v roku 2020. Podobne sa vyjadril aj Samsung, ktorý svoj 7 nm proces plánuje na rok 2019, avšak pri nedostupnosti EUV ho môže o nejaký ten rôčik pozdržať. TSMC pri 7 nm ešte EUV neplánuje.

Proces chystá totiž už na rok 2018, kedy EUV dostupné takmer určite ešte nebude. Ako sme už ale spomenuli, 7 nm výrobné procesy TSMC a Samsungu sa podobajú skôr 10 nm výrobnému procesu Intelu.

GAAFET ako kľúč k 5 nm a 3 nm

Aj keď dnes sa pozornosť upriamuje na 10 nm výrobný proces, ktorý vychrlí prvé čipy v priebehu tohto roka a výhľadovo aj na jeho nástupcu v podobe 7 nm procesu, ktorý sa očakáva v rokoch 2018 až 2020, nesmierne zaujímavé veci sa rysujú v súvislosti s tým 5-nanometrovým. S tým Intel počíta na rok 2023, pričom ak sa súčasný rozkol v označovaní nenapraví, jeho skutočným technickým ekvivalentom bude zrejme až 3 nm výrobný proces TSCMSamsungu, ktorý príde zrejme o jeden alebo viac rokov neskôr.

Krutou realitou takéhoto pokročilého nodu bude, že si na ňom bude môcť vyrábať čipy zrejme len pár spoločností. Podľa Gartnera len samotný dizajn čipu pre tento proces bude stáť v priemere 500 miliónov dolárov. Ako sme už spomenuli, v prípade 7 nm pôjde o 271 miliónov, pričom pri 14 nm, ktoré sú dnes na trhu, šlo o 80 miliónov.

Otvorenou otázkou zostáva, či pre tento proces bude vhodnejšie naťahovať koncept FinFET tranzistorov, ktorý už pri 7 nm začína byť na svojom limite, alebo sa od tejto koncepcie budeme celkom odkláňať. Rozhodnutie je neľahké, pretože to nesprávne môže znamenať podobnú katastrofu, ako zotrvanie pri planárnom procese pri tom 20 nm v prípade TSMC a Samsungu.

Experimentálne vodorovne uložené GAAFET tranzistory, ktoré je možné realizovať lepšie, ako zvislé a je veľmi pravdepodobné, že dostanú prednosť

Tou najviac skúmanou alternatívou sú GAAFET tranzistory, s ktorými dnes experimentujú mnohí vývojári. GAAFET, tiež označované ako Gate-all-around FET, alebo aj „nanovláknové tranzistory“, znamenajú významnú fyzickú zmenu celej konštrukcie, a to ešte vo väčšej miere, ako ju zmenil FinFET. V jeho prípade je hlavným špecifikom zdvihnutie konštrukcie kanála do podoby rebra (v angličtine fin), ktoré môže hradlo omotať väčšou plochou bez vyplytvania horizontálneho priestoru. Gate-all-around FET, tak ako už jeho názov napovedá (Hradlo-kompletne-okolo), ide ešte ďalej.

V porovnaní troch rôznych typov konštrukcie tranzistorov na začiatku článku ste mohli vidieť GAAFET vo vertikálnej podobe. Kanál medzi drain a source elektródou má pri ňom podobu miniatúrneho vlákna, ktoré sa omotá hradlom, pričom celá konštrukcia stojí ako stĺpik. V minulom roku prezentované práce Intelu ukazovali experimentálne nanovlákna hrubé 4,7 nm, ktorých hradlo smerujúce kolmo bolo 17 nm vysoké.

Vyrábať konštrukciu takto je síce obrovské šetrenie miesta, ale ide o nesmierne ťažkú úlohu a je pravdepodobné, že GAAFET budeme skôr budovať naležato (schematický obrázok vyššie a fotografie nižšie).

Pohľad na reálne experimenty s GAAFET tranzistormi uloženými na ležato

Výhodou GAAFET sú lepšie elektrostatické vlastnosti a zároveň ďalšie zmenšenie. Z hľadiska rozmerov ide teda o opätovné ušetrenie miesta na úkor z tohto hľadiska nepodstatného vertikálneho rozmeru, pričom sa rieši stále zhoršujúce sa ovládanie kanála z dôvodu extrémne malých rozmerov. Komplexnosť výroby takýchto celkom odlišných konštrukcií tranzistorov je však masívna a pre veľko objemovú výrobu sa budú musieť vyvinúť celkom iné litografické postupy, ako používame doteraz.

Okrem toho, nevyriešeným technickým problémom GAAFET konštrukcie je takisto zvýšená elektrická kapacita, teda množstvo elektrického náboja medzi hradlom a elektródou drain, vyplývajúce z konštrukcie vlákna ako takého. Dodnes je teda nejasné, či sa všetky komplikácie podarí prekonať a či sa cena a efektivita výroby dostane na také hodnoty, aby prekonala pokračujúci vývoj FinFET riešenia ťahaného do extrémov.

Ak ale GAAFET nebude do relevatného času pre 5 nm výrobný proces pripravený, nebude iná možnosť, než pri FinFET zostať. Ide vlastne o podobnú situáciu ako v litografii. Keďže EUV litografia nie je dostupná, nezostávalo nič iné než pokračovať s technológiou argón fluoridového laseru doplneného o nevýhodné viacnásobné šablónovanie. Pridávanie viacerých šablón a používanie viacnásobného ožarovania je totiž stále ešte o niečo cenovo efektívnejšie, ako použitie EUV litografie so zatiaľ pomalou a nespoľahlivou výrobou.

Detail prechodu kanála hradlom ležatého GAAFET

V reči tranzistorov by šlo teda o používanie FinFET aj napriek problémom, pretože by mohli byť stále menšie ako nasadenie málo vyvinutého GAAFET riešenia. Každopádne aj z vágnych vyjadrení Marka Bohra (riaditeľ architektúry výrobných procesov Intelu) vieme, že súčasný FinFET sa dostáva pri 7 nm na hranu v mnohých vlastnostiach a je takisto celkom nejasné, či existuje schodná cesta na ich prekonanie a nasadenie v rámci 5 nm výrobného procesu.

Pri zatiaľ hypotetickom produkčnom 7 nm finFET tranzistore môžeme počítať napríklad s tým, že jeho hradlo bude dlhé 12 až 18 nm, zatiaľ čo vzájomný rozstup hradiel medzi tranzistormi by mal byť niekde medzi 45 a 55 nm. Takéto rozmery budú znamenať, že veľkosť rebra tranzistora, okolo ktorého je hradlo sčasti omotané, bude už len približne 6 nm.

Ak by sme chceli FinFET natiahnuť až do 5 nm výrobného procesu, potenciálne by to znamenalo 9 nm dĺžku hradla, 35 nm rozstup medzi hradlami dvoch tranzistorov a rebro s hrúbkou 5 nm, čo je už dokonca aj teoretickým limitom štruktúry tohto typu.

Cesty však môžu existovať a skúma sa použitie zlúčeniny kremíka a germánia a takisto naťahovanie rebier do väčších výšok, čím by mohla stúpnuť dĺžka hradla bez toho, aby sa vyčerpal horizontálny priestor. Nie je to ľahká úloha. Problém s germániom a inými pokročilými materiálmi pre polovodiče (zo skupiny III-V) je, že spôsobujú väčšie úniky prúdu v nízko záťažovej prevádzke výsledného čipu.

Ružové to nie je ani s výškou rebra. Intel už pri 14 nm procese jeho výšku významne natiahol a pokračovať bude zrejme aj pri 10 nm. Výšku rebra pri tom nemôžeme zvyšovať donekonečna. Nejde pri tom ani tak o limit materiálu, ako o limit poskytovaného benefitu, ktorý je pri ďalšom zvyšovaní stále menší, až sa napokon celkom stratí.

Pri ceste k 3 nm a 1 nm výrobným procesom dáva nádej vertikálne vrstvenie nanovlákien GAAFET tranzistorov, podobne ako to robíme dnes s NAND flash

Každopádne 5 nm proces bude z tohto dôvodu veľmi zaujímavý. Produkcia polovodičov takéhoto typu je komerčná činnosť a zlepšovanie technických parametrov musí ísť ruka v ruke s cenovou únosnosťou a vhodnosťou. Zlepšenie parametrov o desiatky percent nie je lákavé, ak zvýšenie ceny operuje na hodnotách niekoľkých stoviek percent. Cena ďalší pokrok vo výrobných procesoch preto časom nevyhnutne zabije skôr ako technológia, i keď sú, pravdaže, obe previazané.

Čo ďalej? Podľa súčasného tempa vývoja regulárny 3 nm výrobný proces nemôžeme očakávať skôr, ako v roku 2026. Pravda je ale taká, že dnes ešte nikto nevie, či k takémuto výrobnému procesu vôbec dôjde. Jedna vec je 3 nm či dokonca 1 nm experimentálne tranzistory vyrobiť (v pár kusoch) , druhá vec ich sériovo vyrábať v miliardách tesne vedľa seba rýchlo a lacno.

Zmenšenie výrobného procesu sa nevykonáva len tak pre nič za nič. Typicky sa od nového nodu čaká 20 % zlepšenie výkonu na rovnakom energetickom odbere alebo 40 % redukcia spotreby pri rovnakej frekvencii. Cena nových nodov však raketovo stúpa a ekonomické benefity sa postupne strácajú, či dokonca skôr otáčajú smer.

Experimentálny prototyp vertikálne vrstvených nanovlákien GAAFET tranzistorov. Práve tieto konštrukcie nám môžu otvoriť cestu k 3 nm a 1 nm výrobným procesom

S vytratením cenových výhod zmenšenia, ktoré sa prevtelia do obrovských nákladov s minimálnymi technickými výhodami, tak jedného dňa ekonomika povie stop a stane sa to s veľkou pravdepodobnosťou ešte o niečo skôr, než povie stop samotná veľkosť atómov, ktorá sa oklamať nedá.

Vývojárom však držíme palce a dúfame, že sa 3 nm, či dokonca ešte menšie výrobné procesy podarí realizovať. Kľúčom budú zrejme hlavne vertikálne štruktúry a zhlukovanie nanovlákien, než zmenšovanie prvkov. Uvidíme však, čo nám budúcnosť prinesie.

Tento článok vyšiel aj v tlačenom januárovo-februárovom vydaní TOUCHIT č. 1-2/2017, preto sa niektoré skutočnosti uvedené v článku, môžu odlišovať oproti aktuálnemu dátumu publikovania.

Značky:

František Urban

František Urban
Zameriavam sa najmä na prehľadové a analytické články z oblasti najrôznejších technológií a ich vývoja. Nájdete ma takisto pri diagnostike HW a SW problémov.